GE CPU Module IC693CPU374

Nkọwa dị mkpirikpi:

General: GE Fanuc IC693CPU374 bụ otu oghere CPU modul nwere ọsọ nhazi nke 133 MHz.Ejikwa modul a na interface Ethernet.


Nkọwa ngwaahịa

Mkpado ngwaahịa

Nkọwa ngwaahịa

General: GE Fanuc IC693CPU374 bụ otu oghere CPU modul nwere ọsọ nhazi nke 133 MHz.Ejikwa modul a na interface Ethernet.

Ebe nchekwa: Ngụkọta ebe nchekwa onye ọrụ nke IC693CPU374 ji bụ 240 KB.Nha n'ezie jikọtara na ebe nchekwa mmemme maka onye ọrụ dabere na ụdị ebe nchekwa ahaziri, dị ka ebe nchekwa ndekọ (% R), ntinye Analog (% AI) na mmepụta Analog (% AO).Ọnụ ọgụgụ nke ebe nchekwa ahaziri maka nke ọ bụla n'ime ụdị ebe nchekwa ndị a bụ 128 ruo gburugburu okwu 32,640.

Ike: Ike achọrọ maka IC693CPU374 bụ 7.4 watts site na voltaji 5V DC.Ọ na-akwado ọdụ ụgbọ mmiri RS-485 mgbe ọ na-enye ike.Usoro SNP na SNPX na-akwado modul a mgbe a na-enye ike site na ọdụ ụgbọ mmiri a.

Ọrụ: A na-arụ ọrụ modul a n'ime oke okpomọkụ nke 0°C ruo 60°C.Okpomọkụ achọrọ maka nchekwa ahụ dị n'etiti -40C na +85C.

Atụmatụ: IC693CPU374 nwere ọdụ ụgbọ mmiri Ethernet abụọ, nke ha abụọ nwere ikike nhụta akpaaka.Modul a nwere ntọala ntọala asatọ maka sistemụ ọ bụla, gụnyere CPU baseplate.7 fọdụrụnụ bụ mgbasawanye ma ọ bụ baseplates dịpụrụ adịpụ ma dakọtara na onye na-arụ ọrụ nkwukọrịta mmemme.

Batrị: Ndabere batrị IC693CPU374 modul nwere ike ịgba ọsọ ruo ọtụtụ ọnwa.Batrị dị n'ime nwere ike ịrụ ọrụ dị ka ọkụ ọkụ ruo ọnwa 1.2, na batrị mpụga nhọrọ nwere ike ịkwado modul maka ọnwa 12 kacha elu.

Ozi nka

Ụdị njikwa Otu oghere CPU modul nwere interface Ethernet agbakwunyere
Nhazi  
Ọsọ nhazi 133 MHz
Ụdị nhazi AMD SC520
Oge mmezu (ọrụ Boolean) 0.15 msk kwa ntuziaka Boolean
Ụdị Nchekwa ebe nchekwa RAM na Flash
Ebe nchekwa  
Ebe nchekwa onye ọrụ (ngụkọta) 240KB (245,760) Bytes
Mara: Ogo nke ebe nchekwa mmemme onye ọrụ dị na-adabere na nha ahaziri maka %R, %AI na % ụdị ebe nchekwa okwu AQ.
Ihe ntinye aka pụrụiche - %I 2,048 (nke edobere)
Ihe nrụpụta pụrụ iche -%Q 2,048 (nke edobere)
Ebe nchekwa zuru ụwa ọnụ pụrụ iche - %G 1,280 bits (obere)
Igwe mmiri dị n'ime - %M 4,096 bit (obere)
Ihe mpụta (nwa oge) eriri igwe - %T 256 bits (obere)
Ntụtụ aka na ọnọdụ sistemụ - %S 128 ibe n'ibe (% S, %SA, %SB, %SC - 32 ibe n'ibe nke ọ bụla) (obere)
Deba aha ebe nchekwa - % R Enwere ike ịhazi okwu 128 ruo 32,640
Ntinye Analog -% AI Enwere ike ịhazi okwu 128 ruo 32,640
Mpụta Analog -%AQ Enwere ike ịhazi okwu 128 ruo 32,640
Ndebanye aha sistemụ - %SR Okwu 28 (obere)
Ngụ oge/Ngụkọta > 2,000 (dabere na ebe nchekwa onye ọrụ dị)
Nkwado ngwaike  
Elekere nke batrị akwadoro Ee
Ndabere batrị (Ọnụ ọgụgụ ọnwa na-enweghị ike) Ọnwa 1.2 maka batrị dị n'ime (arụnyere na ọkụ ọkụ) ọnwa 15 nwere batrị mpụga (IC693ACC302)
Ibu achọrọ site na ntinye ike 7.4 watts nke 5VDC.Achọrọ ike ọkọnọ ike dị elu.
Onye mmemme ejiri jide aka CPU374 anaghị akwado Onye Mmekọ Aka Jide
Akwadoro ngwaọrụ ụlọ ahịa mmemme Ngwa nbudata mmemme PLC (PPDD) na ngwaọrụ ụlọ ahịa mmemme EZ
Mgbakọta Baseplates kwa Sistemu 8 (CPU baseplate + 7 mgbasawanye na/ma ọ bụ ime ime)
Nkwado ngwanrọ  
Nkwado nkwụsị Na-akwado njirimara subroutine oge.
Nkwekọrịta nzikọrịta ozi na onye nhazi mmemme Ee
Wepụ Ee
Mgbakọ na-ese n'elu mmiri Ee, mgbakọ na mwepụ na-ese n'elu ngwaike
Nkwado nkwukọrịta  
Ọdụ ụgbọ mmiri Serial arụnyere n'ime ya Enweghị ọdụ ụgbọ mmiri na CPU374.Na-akwado ọdụ ụgbọ mmiri RS-485 na ike ọkọnọ.
Nkwado Protocol SNP na SNPX na ọdụ ụgbọ mmiri RS-485
Nkwukọrịta Ethernet arụnyere n'ime ya Ethernet (wuru na ya) - 10/100 base-T/TX Ethernet Switch
Ọnụọgụ ọdụ ụgbọ mmiri Ethernet Abụọ, ha abụọ bụ ọdụ ụgbọ mmiri 10/100baseT/TX nwere nghọta akpaaka.RJ-45 njikọ
Ọnụọgụ nke adreesị IP Otu
Protocol SRTP na Ethernet Global Data (EGD) na ọwa (onye na-emepụta ihe na ndị ahịa);Modbus/TCP Client/Seva
Ọrụ EGD Klas II (iwu EGD) Na-akwado nnyefe iwu ọbụ abụ anabatara (mgbe ụfọdụ a na-akpọ "datagrams") na Ọrụ Data Reliable (RDS - usoro nnyefe iji jide n'aka na ozi iwu na-agafe otu ugboro na naanị otu ugboro).
Ọwa SRTP Ruo ọwa 16 SRTP

Ruo mkpokọta njikọ 36 SRTP/TCP, nke nwere njikọ sava 20 SRTP yana ruo ọwa ndị ahịa 16.

Nkwado sava weebụ Na-enye tebụl nrụtụ aka dị mkpa, tebụl mmejọ PLC, na IO Fault Table data nlekota n'elu netwọk Ethernet site na ihe nchọgharị weebụ ọkọlọtọ.

  • Nke gara aga:
  • Osote:

  • Dee ozi gị ebe a ziga anyị ya